cadence virtuoso 电路仿真小技巧

您所在的位置:网站首页 virtuoso DC仿真可以加交流输入吗 cadence virtuoso 电路仿真小技巧

cadence virtuoso 电路仿真小技巧

2024-07-16 02:54| 来源: 网络整理| 查看: 265

cadence virtuoso 电路ADE 仿真小技巧 1、查看仿真信号的功率

仿真前记得先设置功率信号输出 在这里插入图片描述 在这里插入图片描述 仿真结束后再如下操作 在这里插入图片描述 在这里插入图片描述 或者在新的窗口中打开仿真结果中的 simulation-spectre-schematic-PSF文件 在这里插入图片描述 找到TRAN 在这里插入图片描述 下面是计算电路的平均功耗 点击tool—calculator 在这里插入图片描述 1处即为提取的功率信号,点击2计算平均值 在这里插入图片描述 点击3出现数值 在这里插入图片描述 或者点击下面,在框内出现数值 在这里插入图片描述

2、查看仿真信号某一点的数值

快捷键:M ## 1、查看仿真信号的功率

3、cadence Virtuoso中加速仿真——使用服务器多核仿真

在这里插入图片描述 设置Threads为少于自己服务器核的数量 在这里插入图片描述

4、查看ADE仿真的进度

在这里插入图片描述 在这里插入图片描述

5、cadence 后仿真不收敛

ERROR (SPECTRE-16080): No DC solution found (no convergence). 解决方法:SKIP DC (跳过DC仿真) 操作: 在这里插入图片描述 将skip dc勾选上就可以了 在这里插入图片描述

九——个人宣传时间在这里插入图片描述 1、模拟IC设计——入门教程(WX:didadidadidida313)

在这里插入图片描述 在这里插入图片描述 在这里插入图片描述 在这里插入图片描述

2、模拟IC设计——进阶项目——10BIT 20Ms/s 异步SAR ADC设计教程(WX:didadidadidida313)

在这里插入图片描述 在这里插入图片描述 10bit SAR ADC 设计,smic18工艺,有工艺库,有效位数ENOB为9.8 此为自己研一入门SAR ADC的时候做的。常用栅压自举开关Bootstrap,Vcm_Based开关时序,上级板采样差分CDAC阵列,两级动态比较器,比较器高速异步时钟,动态sar逻辑,10位DFF输出,10位理想DAC还原做DFT。 包括详细仿真文档,原理介绍,完整电路图,仿真参数已设好,可直接使用,在自己的电脑上就可以运行仿真。适合入门SAR ADC的拿来练手 在这里插入图片描述 在这里插入图片描述

在这里插入图片描述 在这里插入图片描述

四 、几个已经完成的SAR ADC项目 4.1、10bit 20MHz SAR ADC(WX:didadidadidida313,备注:CSDN SAR ADC)

10bit SAR ADC 设计,smic18工艺,有工艺库,有效位数ENOB为9.8 常用栅压自举开关Bootstrap,Vcm_Based开关时序,上级板采样差分CDAC阵列,两级动态比较器,比较器高速异步时钟,动态sar逻辑,10位DFF输出,10位理想DAC还原做DFT。 包括详细仿真文档,原理介绍,完整电路图,仿真参数已设好,可直接使用,在自己的电脑上就可以运行仿真。适合入门SAR ADC的拿来练手 在这里插入图片描述

4.2、12bit 100MHz Pipelined ADC(WX:didadidadidida313,加我备注:CSDN SAR ADC)

12bit 100MHz pipelined ADC 设计

65nm工艺,电源电压1.2V,ENOB=11.6 结构: 栅压自举开关 CDAC 两级动态比较器 第一级6位SAR ADC 余量放大器 第二级8位SAR ADC 同步和异步SAR logic都有 在这里插入图片描述

4.3、李福乐老师8bit SAR ADC(WX:didadidadidida313,备注:CSDN SAR ADC)

清华大学李福乐8bit SAR ADC设计 结构: 分段式电容阵列 经典两级动态比较器

工艺库+电路+设计文档; 工艺库和电路均转成OA版本,可直接导入 送李老师的课件,包含详细设计思路 有matlab代码,FFT,计算ENOB SNDR SFDR SNR THD 适合入门SAR ADC的拿来练手 在这里插入图片描述

SAR ADC 详细介绍 SAR ADC详细介绍传送门



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3